Wednesday 27 July 2016

Moore's law is dead.... or is it?


A Haswell processor close up.


If you know anything about processors you will have heard of Gordon Moore. He was the co-founder of Intel and came up with his now famous law.
MOORES LAW : The observation made in 1965 by Gordon Moore, co-founder of Intel, that the number of transistors per square inch on integrated circuits had doubled every year since the integrated circuit was invented. Moore predicted that this trend would continue for the foreseeable future.
This basic observation by Moore has held true since 1965 but things are about to change.  Every year newer and better ways to put transistors on silicon are found. The size of each transistor reduces allowing chip designers to cram more and more in the same size. This basic process has reached its limit. According to the International Technology Roadmap for Semiconductors (ITRS) transistor can't shrink any more. Moores law is dead?
The ITRS—which has been produced almost annually by a collaboration of most of the world's major semiconductor companies since 1993—is about as authoritative as it gets when it comes to predicting the future of computing. The 2015 roadmap will however be its last.
The most interesting aspect of the ITRS is that it tries to predict what materials and processes we might be using in the next 15 years. The idea is that, by collaborating on such a roadmap, the companies involved can sink their R&D money into the "right" technologies.
For example, despite all the fuss surrounding graphene and carbon nanotubes a few years back, the 2011 ITRS predicted that it would still be at least 10 to 15 years before they were actually used in memory or logic devices. Germanium and III-V semiconductors, though, were predicted to be only five to 10 years away. Thus, if you were deciding where to invest your R&D money, you might opt for the iii-V rather than nanotubes (which appears to be what Intel and IBM are doing).
The latest and last ITRS focuses on two key areas :
It will no longer be economically viable to shrink transistors after 2021
What might be done to keep Moore's law going despite transistors reaching their minimal limit.

(Remember, Moore's law simply predicts a doubling of transistor density within a given integrated circuit, not the size or performance of those transistors.)
The first problem has been known about for a long while. Basically, starting at around the 65nm node in 2006, the economic gains from moving to smaller transistors have been slowly dribbling away. Previously, moving to a smaller node meant you could cram tons more chips onto a single silicon wafer, at a reasonably small price increase. With recent nodes like 22 or 14nm, though, there are so many additional steps required that it costs a lot more to manufacture a completed wafer—not to mention additional costs for things like package-on-package (PoP) and through-silicon vias (TSV) packaging.
This is the primary reason that the semiconductor industry has been whittled from around 20 leading-edge logic-manufacturing companies in 2000, down to just four today: Intel, TSMC, GlobalFoundries, and Samsung. (IBM recently left the business by selling its fabs to GloFo.)
The second problem, how to keep increasing transistor density has a couple of likely solutions. First, ITRS expects that chip makers and designers will begin to move away from FinFET in 2019, towards gate-all-around transistor designs. Then, a few years later, these transistors will become vertical, with the channel fashioned out of some kind of nanowire. This will allow for a massive increase in transistor density, similar to recent advances in 3D V NAND memory.
The gains won't last for long though, according to ITRS: by 2024 (so, just eight years from now), we will once again run up against a thermal ceiling. Basically, there is a hard limit on how much heat can be dissipated from a given surface area. So, as chips get smaller and/or denser, it eventually becomes impossible to keep the chip cool. The only real solution is to completely rethink chip packaging and cooling. To begin with, we'll probably see microfluidic channels that increase the effective surface area for heat transfer. But after that, as we stack circuits on top of each other, we'll need something even fancier. Some form of electronics blood, perhaps?
The final ITRS report is a bit of a monster and I have only touched on a few aspects of it. There are large sections on heterogeneous integration, and also some important bits on connectivity (semiconductors play a key role in modulating optical and radio signals).
One interesting piece of short term decision making that will happen very soon is choosing which lithography and patterning techs will be used for commercial 7nm and 5nm logic chips. As you may know, extreme ultraviolet (EUV) has been waiting in the wings for years now, never quite reaching full readiness due to its extremely high power usage and some resolution concerns. In the mean time, chip makers have fallen back on increasing levels of multiple patterning—multiple lithographic exposures, which increase manufacturing time (and costs). Now, however, directed self-assembly (DSA)—where the patterns assemble themselves—is also getting very close to readiness. If either technology wants to be used over multiple patterning for 7nm logic, the ITRS says they will need to prove their readiness in the next few months.
So on the face of it Moore's law looked dead but there are a number of new techniques and technologies waiting in the wings that may well allow us to get round the current limitations. Time will tell.

1 comment:

  1. Digital Printing
    I really appreciate the kind of topics you post here. Thanks for sharing us a great information that is actually helpful

    ReplyDelete